Mux
Entities
mux.vhdl File Reference

2:1 Mux using with-select More...

Entities

mux_using_with  entity
 Mux entity brief description Detailed description of this mux design element. More...
 
behavior  architecture
 Architecture definition of the MUX. More...
 

Detailed Description

2:1 Mux using with-select